Skip to main content

Testing of Interposer-Based 2.5D Integrated Circuits

  • Book
  • © 2017

Overview

  • Provides a single-source guide to the practical challenges in testing of 2.5D ICs
  • Presents an efficient method to locate defects in a passive interposer before stacking
  • Describes an efficient interconnect-test solution to target through-silicon vias (TSVs), the redistribution layer, and micro-bumps for shorts, opens, and delay faults
  • Provides a built-in self-test (BIST) architecture that can be enabled by the standard TAP controller in the IEEE 1149.1 standard
  • Discusses two ExTest scheduling strategies to implement interconnect testing between tiles inside an SoC die
  • Includes a programmable method for shift-clock stagger assignment to reduce power supply noise during SoC die testing in 2.5D ICs
  • Includes supplementary material: sn.pub/extras

This is a preview of subscription content, log in via an institution to check access.

Access this book

eBook USD 84.99
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book USD 119.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book USD 109.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Other ways to access

Licence this eBook for your library

Institutional subscriptions

Table of contents (8 chapters)

Keywords

About this book

This book provides readers with an insightful guide to the design, testing and optimization of 2.5D integrated circuits.  The authors describe a set of design-for-test methods to address various challenges posed by the new generation of 2.5D ICs, including pre-bond testing of the silicon interposer, at-speed interconnect testing, built-in self-test architecture, extest scheduling, and a programmable method for low-power scan shift in SoC dies.  This book covers many testing techniques that have already been used in mainstream semiconductor companies. Readers will benefit from an in-depth look at test-technology solutions that are needed to make 2.5D ICs a reality and commercially viable.

Authors and Affiliations

  • Nvidia (United States) , Sunnyvale, USA

    Ran Wang

  • Department of ECE, Duke University Department of ECE, Durham, USA

    Krishnendu Chakrabarty

About the authors

Ran Wang is a Senior DFT Engineer at NVIDIA in Santa Clara, CA. Dr. Wang received the B. Sci. degree from Zhejiang University, Hangzhou, China, in 2012, and the M.S.E and Ph.D degree from the Department of Electrical and Computer Engineering, Duke University in 2014 and 2016. His current research interests include testing and design-for-testability of 2.5D ICs and 3D ICs.

Krishnendu Chakrabarty is the William H. Younger Distinguished Professor of Engineering in the Department of Electrical and Computer Engineering at Duke University in Durham, NC.  He has been at Duke University since 1998. His current research is focused on: testing and design-for-testability of integrated circuits (especially 3D and multicore chips); digital microfluidics, biochips, and cyberphysical systems; optimization of digital print and production system infrastructure. His research projects in the recent past have also included chip cooling using digital microfluidics, wireless sensor networks, and real-time embedded systems. 

Prof. Chakrabarty received the B. Tech. degree from the Indian Institute of Technology, Kharagpur, India in 1990, and the M.S.E. and Ph.D. degrees from the University of Michigan, Ann Arbor in 1992 and 1995, respectively. He is a Fellow of ACM, a Fellow of IEEE, and a Golden Core Member of the IEEE Computer Society.

Prof. Chakrabarty is a recipient of the National Science Foundation CAREER award, the Office of Naval Research Young Investigator award, the Humboldt Research Award from the Alexander von Humboldt Foundation, Germany, the IEEE Transactions on CAD Donald O. Pederson Best Paper award, and 12 best paper awards at major conferences.  He is also a recipient of the IEEE Computer Society Technical Achievement Award and the Distinguished Alumnus Award from the Indian Institute of Technology, Kharagpur. He is a Research Ambassador of the University of Bremen (Germany) and a Hans Fischer Senior Fellow at the Institute for Advanced Study, Technical University of Munich, Germany. He has held Visiting Professor positions at University of Tokyo and the Nara Institute of Science and Technology (as an Invitational Fellow of the Japan Society for the Promotion of Science) in Japan, and Visiting Chair Professor positions at Tsinghua University (Beijing, China) and National Cheng Kung University (Tainan, Taiwan). 

Bibliographic Information

  • Book Title: Testing of Interposer-Based 2.5D Integrated Circuits

  • Authors: Ran Wang, Krishnendu Chakrabarty

  • DOI: https://doi.org/10.1007/978-3-319-54714-5

  • Publisher: Springer Cham

  • eBook Packages: Engineering, Engineering (R0)

  • Copyright Information: Springer International Publishing AG 2017

  • Hardcover ISBN: 978-3-319-54713-8Published: 29 March 2017

  • Softcover ISBN: 978-3-319-85461-8Published: 09 May 2018

  • eBook ISBN: 978-3-319-54714-5Published: 20 March 2017

  • Edition Number: 1

  • Number of Pages: XIV, 182

  • Number of Illustrations: 16 b/w illustrations, 102 illustrations in colour

  • Topics: Circuits and Systems, Processor Architectures, Logic Design

Publish with us