Skip to main content
  • Book
  • © 2006

A Practical Introduction to PSL

  • Presents assertion-based verification using the IEEE Property Specification Language (PSL), an emerging industry standard, based on IBM's Sugar 2.0 assertion language
  • Includes actual designs using assertion PSL
  • Includes supplementary material: sn.pub/extras

Part of the book series: Integrated Circuits and Systems (ICIR)

Buy it now

Buying options

eBook USD 129.00
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever

Tax calculation will be finalised at checkout

Other ways to access

This is a preview of subscription content, log in via an institution to check for access.

Table of contents (14 chapters)

  1. Front Matter

    Pages I-XV
  2. Introduction

    Pages 1-4
  3. Some Philosophy

    Pages 19-25
  4. SERE Style

    Pages 35-63
  5. Clocks

    Pages 65-82
  6. Aborting a Property

    Pages 83-89
  7. The Simple Subset

    Pages 101-102
  8. Advanced Topics

    Pages 109-122
  9. Common Errors

    Pages 131-160
  10. Multiply-clocked Designs

    Pages 161-174
  11. Back Matter

    Pages 175-240

About this book

Functional veri?cation is hard. Period. No disagreement here. But why is this so? Consider today’s design ?ow: much of it is more or less automated, from RTL to netlist to layout to silicon. But all this automation depends upon having correct RTL input to start with, and there is little or no automation to help with RTL creation. It is hard enough for a designer to decide what RTL model he wants to build, and then to describe that RTL model correctly in a hardware description language. It is even more di?cult for a veri?cation engineer, who can’t read the designer’s mind, to verify that what the designer created not only represents the RTL model he had conceived, but also that the RTL model is an appropriate one for the problem at hand. What makes RTL modeling and veri?cation di?cult is concurrency. It is easy to teach an engineer how to write procedural code that conforms to the synthesizable subset of a hardware description language. What is hard is understanding how the engineer’s procedural code interacts with other c- ponents in the design over time. In fact, until recently we lacked e?ective languages to describe concurrent behaviors. The IEEE 1850 Property Speci?cation Language (PSL) is a language for the formal speci?cation of concurrent systems. The language is particularly applicable for writing assertions about hardware designs. PSL supports m- tiple veri?cation paradigms – including formal analysis, simulation, and acc- eration/emulation.

Authors and Affiliations

  • IBM Haifa Research Laboratory, Haifa, Israel

    Cindy Eisner

  • The Weizmann Institute of Science, Rehovot, Israel

    Dana Fisman

Bibliographic Information

Buy it now

Buying options

eBook USD 129.00
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever

Tax calculation will be finalised at checkout

Other ways to access